; FileName TTLDict.Analyze
; April 22, 1981 12:48 PM, S. Tom Chang
; May 9, 1980 4:18 PM
; March 6, 1980 10:44 AM
; July 31, 1979 9:12 AM
; November 20, 1978 9:46 AM
; Copyright Xerox Corporation 1980
ADC-MC8BC=ADC-MC8BC/16/J
AM2615=AM2615/16/N
CA3140=CA3140/8/J
CA3160=CA3160/8/J
DipCable=DipCable/16/J
DLAY20=LC020Z100A/14/J
DLAY50=LC050Z100A/14/J
F3341A=F3341A/16/N
F93415A=F93415A/16/N
F93422=F93422A/22/F4W
F93425A=F93425A/16/N
F93427=F93427/16/N
F93453=F93453/18/N
F9401=F9401/14/N
FICAP=FICAP/2/J
FPLAT=PLAT20/20/J
HM7603=HM7603-5/16/N
H00=SN74H00/14/N
H01=SN74H01/14/N
H02=SN74H02/14/N
H03=SN74H03/14/N
H04=SN74H04/14/N
H05=SN74H05/14/N
H06=SN74H06/14/N
H07=SN74H07/14/N
H08=SN74H08/14/N
H09=SN74H09/14/N
H10=SN74H10/14/N
H11=SN74H11/14/N
H20=SN74H20/14/N
H21=SN74H21/14/N
H25=SN74H25/14/N
H27=SN74H27/14/N
H30=SN74H30/14/N
H32=SN74H32/14/N
H37=SN74H37/14/N
H38=SN74H38/14/N
H40=SN74H40/14/N
H50=SN74H50/14/N
H51=SN74H51/14/N
H53=SN74H53/14/N
H54=SN74H54/14/N
H60=SN74H60/14/N
H64=SN74H64/14/N
H73=SN74H73/14/N
H74=SN74H74/14/N
H86=SN74H86/14/N
i2114=i2114/18/N
i2115=i2115/16/N
i2125=i2125/16/N
i2147=i2147/18/N
i2148=i2148/18/N
i2708=i2708/24/N6W
i2716=i2716/24/N6W
i2758=i2758/24/N6W
i3101A=i3101A/16/N
i3601=i3601/16/N
i3621=i3621/16/N
i3625=i3625/18/N
K1100A=K1100A/14/N
K1114A=K1114A/14/N
K1115A=K1115A/14/N
K1116A=K1116A/14/N
K1144A=K1144A/14/N
K1145A=K1145A/14/N
LM339=LM339/14/J
LS00=SN74LS00/14/N
LS01=SN74LS01/14/N
LS02=SN74LS02/14/N
LS03=SN74LS03/14/N
LS04=SN74LS04/14/N
LS05=SN74LS05/14/N
LS06=SN74LS06/14/N
LS07=SN74LS07/14/N
LS08=SN74LS08/14/N
LS09=SN74LS09/14/N
LS10=SN74LS10/14/N
LS11=SN74LS11/14/N
LS20=SN74LS20/14/N
LS21=SN74LS21/14/N
LS25=SN74LS25/14/N
LS27=SN74LS27/14/N
LS28=SN74LS28/14/N
LS30=SN74LS30/14/N
LS32=SN74LS32/14/N
LS37=SN74LS37/14/N
LS38=SN74LS38/14/N
LS40=SN74LS40/14/N
LS50=SN74LS50/14/N
LS51=SN74LS51/14/N
LS53=SN74LS53/14/N
LS54=SN74LS54/14/N
LS60=SN74LS60/14/N
LS64=SN74LS64/14/N
LS73=SN74LS73/14/N
LS74=SN74LS74/14/N
LS85=SN74LS85/16/N
LS86=SN74LS86/14/N
LS109=SN74LS109/16/N
LS123=SN74LS123/16/N
LS133=SN74LS133/16/N
LS138=SN74LS138/16/N
LS139=SN74LS139/16/N
LS148=SN74LS148/16/N
LS150=SN74LS150/24/N6W
LS151=SN74LS151/16/N
LS153=SN74LS153/16/N
LS155=SN74LS155/16/N
LS157=SN74LS157/16/N
LS158=SN74LS158/16/N
LS160=SN74LS160/16/N
LS161=SN74LS161/16/N
LS162=SN74LS162/16/N
LS163=SN74LS163/16/N
LS164=SN74LS164/14/N
LS165=SN74LS165/16/N
LS166=SN74LS166/16/N
LS169=SN74LS169/16/N
LS170=SN74LS170/16/N
LS174=SN74LS174/16/N
LS175=SN74LS175/16/N
LS180=SN74LS180/14/N
LS181=SN74LS181/24/N6W
LS182=SN74LS182/16/N
LS190=SN74LS190/16/N
LS191=SN74LS191/16/N
LS192=SN74LS192/16/N
LS193=SN74LS193/16/N
LS194=SN74LS194/16/N
LS240=SN74LS240/20/N
LS241=SN74LS241/20/N
LS244=SN74LS244/20/N
LS245=SN74LS245/20/N
LS251=SN74LS251/16/N
LS253=SN74LS253/16/N
LS257=SN74LS257/16/N
LS258=SN74LS258/16/N
LS259=SN74LS259/16/N
LS273=SN74LS273/20/N
LS283=SN74LS283/16/N
LS298=SN74LS298/16/N
LS352=SN74LS352/16/N
LS373=SN74LS373/20/N
LS374=SN74LS374/20/N
LS378=SN74LS378/16/N
LS381=SN74LS381/20/N
LS393=SN74LS393/16/N
LS670=SN74LS670/16/N
MC14521=MC14521B/16/N
MCS6502=MCS6502/40/J6W
MCS6532=MCS6532/40/J6W
MCT6=MCT6/16/J
MK16-2=MK4116P-2/16/M >MK4116-2=MK4116P-2/16/M
MK16-3=MK4116P-3/16/M >MK4116-3=MK4116P-3/16/M
MK4096=MK4096P-6/16/M
N00=SN7400/14/N
N01=SN7401/14/N
N02=SN7402/14/N
N03=SN7403/14/N
N04=SN7404/14/N
N05=SN7405/14/N
N06=SN7406/14/N
N07=SN7407/14/N
N08=SN7408/14/N
N09=SN7409/14/N
N10=SN7410/14/N
N11=SN7411/14/N
N20=SN7420/14/N
N21=SN7421/14/N
N25=SN7425/14/N
N27=SN7427/14/N
N28=SN7428/14/N
N30=SN7430/14/N
N32=SN7432/14/N
N37=SN7437/14/N
N38=SN7438/14/N
N40=SN7440/14/N
N50=SN7450/14/N
N51=SN7451/14/N
N53=SN7453/14/N
N54=SN7454/14/N
N60=SN7460/14/N
N64=SN7464/14/N
N73=SN7473/14/N
N74=SN7474/14/N
N85=SN7485/16/N
N86=SN7486/14/N
N109=SN74109/16/N
N123=SN74123/16/N
N125=SN74125/14/N
N128=SN74128/16/N
N133=SN74133/16/N
N138=SN74138/16/N
N139=SN74139/16/N
N143=SN74143/24/N6W
N148=SN74148/16/N
N150=SN74150/24/N6W
N151=SN74151/16/N
N153=SN74153/16/N
N155=SN74155/16/N
N157=SN74157/16/N
N158=SN74158/16/N
N160=SN74160/16/N
N161=SN74161/16/N
N162=SN74162/16/N
N163=SN74163/16/N
N164=SN74164/14/N
N165=SN74165/16/N
N166=SN74166/16/N
N170=SN74170/16/N
N174=SN74174/16/N
N175=SN74175/16/N
N180=SN74180/14/N
N181=SN74181/24/N6W
N182=SN74182/16/N
N188=SN74188/16/N
N190=SN74190/16/N
N192=SN74192/16/N
N193=SN74193/16/N
N191=SN74191/16/N
N194=SN74194/16/N
N257=SN74257/16/N
N258=SN74258/16/N
N260=SN74260/14/N
N265=SN74265/16/N
N283=SN74283/16/N
N298=SN74298/16/N
N425=SN74425/14/N
N75107=SN75107A/14/N
N75110=SN75110/14/N
N75114=SN75114/16/N
N75115=SN75115/16/N
N75138=SN75138/16/N
N75188=SN75188/14/J
N75189=SN75189/14/N
pr=PullUpResistor/16/J
PLAT=AUGATCG16/16/J
PLAT1=PLAT1/16/J
PLAT8=PLAT8/16/J
PLAT16=PLAT16/16/J
PLAT18=PLAT18/16/J
PLAT116=PLAT116/16/J
PLAT816=PLAT816/16/J
PLAT1816=PLAT1816/16/J
S00=SN74S00/14/S
S01=SN74S01/14/S
S02=SN74S02/14/S
S03=SN74S03/14/S
S04=SN74S04/14/S
S05=SN74S05/14/S
S06=SN74S06/14/S
S07=SN74S07/14/S
S08=SN74S08/14/S
S09=SN74S09/14/S
S10=SN74S10/14/S
S11=SN74S11/14/S
S20=SN74S20/14/S
S21=SN74S21/14/S
S25=SN74S25/14/S
S27=SN74S27/14/S
S30=SN74S30/14/S
S32=SN74S32/14/S
S37=SN74S37/14/S
S38=SN74S38/14/S
S40=SN74S40/14/S
S50=SN74S50/14/S
S51=SN74S51/14/S
S53=SN74S53/14/S
S54=SN74S54/14/S
S60=SN74S60/14/S
S64=SN74S64/14/S
S73=SN74S73/14/S
S74=SN74S74/14/S
S85=SN74S85/16/S
S86=SN74S86/14/S
S112=SN74S112/16/S
S123=SN74S123/16/S
S133=SN74S133/16/S
S135=SN74S135/16/S
S138=SN74S138/16/S
S139=SN74S139/16/S
S148=SN74S148/16/S
S150=SN74S150/24/S6W
S151=SN74S151/16/S
S153=SN74S153/16/S
S155=SN74S155/16/S
S157=SN74S157/16/S
S158=SN74S158/16/S
S160=SN74S160/16/S
S161=SN74S161/16/S
S162=SN74S162/16/S
S163=SN74S163/16/S
S164=SN74S164/14/S
S165=SN74S165/16/S
S166=SN74S166/16/S
S169=SN74S169/16/S
S170=SN74S170/16/S
S174=SN74S174/16/S
S175=SN74S175/16/S
S181=SN74S181/24/S6W
S182=SN74S182/16/S
S189=SN74S189/16/S
S190=SN74S190/16/S
S192=SN74S192/16/S
S194=SN74S194/16/S
S208=SN74S208/20/S
S225=SN74S225/20/S
S240=SN74S240/20/S
S241=SN74S241/20/S
S244=SN74S244/20/N
S225=SN74S225/20/S
S251=SN74S251/16/S
S253=AM74S253/16/S
S257=SN74S257/16/S
S258=SN74S258/16/S
S260=SN74S260/14/S
S280=SN74S280/14/S
S283=SN74S283/16/S
S288=SN74S288/16/S
S289=SN74S289/16/S
S299=SN74S299/20/S
S373=SN74S373/20/S
S374=SN74S374/20/S
S378=MM67S378/20/S
S381=SN74S381/20/S
SN52111=SN52111/16/J
SPLAT=PLATFORM/20/J
sr16=SerialRes16/16/J
sr16r=SerialRes16/16/J
25S09=AM25S09/16/S
25S10=AM25S10/16/S
25S18=AM25S18/16/S
82S27=82S27/16/N
82S137=82S137/18/N
8T09=8T09/14/N
8T10=8T10/16/N
8T96=8T96/16/N

@
ADC-MC8BC
a,REFi,15 >a,VCC1,8 >a,GND1,1 >a,RESET’,3 >a,CLK’,4 >a,CTRSEL,2
a,D7,13 >a,D6,12 >a,D5,11 >a,D4,10 >a,D3,9 >a,D2,7 >a,D1,6 >a,D0,5
a,REFo,16 >a,ANALOG,14

@
AM2615
a,+Term,6 >a,+,5 >a,-Ref,7 >a,E,3 >a,Q’,1 >a,PU,2 >a,Resp,4
b,+Term,10 >b,+,11 >b,-Ref,9 >b,E,13 >b,Q’,15 >b,PU,14 >b,Resp,12

@
CA3140, CA3160
a,+,3 >a,-,2 >a,OUT,6 >b,Sc,8 >b,Com,1 >b,OffS,5 >c,+Pwr,7 >c,-Pwr,4

@
DipCable
a,P1,1 >a,P2,2 >a,P3,3 >a,P4,4 >a,P5,5 >a,P6,6 >a,P7,7 >a,P8,8
a,P9,9 >a,P10,10 >a,P11,11 >a,P12,12 >a,P13,13 >a,P14,14 >a,P15,15 >a,P16,16

@
DLAY20
a,IN,1 >a,T1,2 >a,T2,3 >a,T3,4 >a,T4,5 >a,T5,6 >a,T6,9 >a,T7,10
a,T8,11 >a,T9,12 >a,T10,13 >a,G,7

@
DLAY50
a,IN,1 >a,T1,2 >a,T2,3 >a,T3,4 >a,T4,5 >a,T5,6 >a,T6,9 >a,T7,10
a,T8,11 >a,T9,12 >a,T10,13 >a,G,7

@
F3341A
a,D0,4 >a,D1,5 >a,D2,6 >a,D3,7 >a,SI,3 >a,SO,15 >a,CL’,9 >a,V12,1
a,Q0,13 >a,Q1,12 >a,Q2,11 >a,Q3,10 >a,IR,2 >a,OR,14

@
F93415A, F93425A, i2115, i2125
a,A0,2 >a,A1,3 >a,A2,4 >a,A3,5 >a,A4,6 >a,A5,9 >a,A6,10 >a,A7,11 >a,A8,12 >a,A9,13
a,CS’,1 >a,DI,15 >a,DO,7 >a,WE’,14

@
F93422
a,A0,4 >a,A1,3 >a,A2,2 >a,A3,1 >a,A4,21 >a,A5,5 >a,A6,6 >a,A7,7 >a,CE1’,19 >a,CE2,17
a,D0,9 >a,D1,11 >a,D2,13 >a,D3,15 >a,OE’,18 >a,Q0,10 >a,Q1,12 >a,Q2,14 >a,Q3,16 >a,WE’,20
b,IN,9 >b,OUT,10 >c,IN,11 >c,OUT,12 >d,IN,13 >d,OUT,14 >e,IN,15 >e,OUT,16
f,A0,4 >f,A1,3 >f,A2,2 >f,A3,1 >f,A4,21 >f,A5,5 >f,A6,6 >f,A7,7 >f,CE1’,19 >f,CE2,17 >f,OE’,18 >f,WE’,20

@
F93427, i3601, i3621
a,A0,5 >a,A1,6 >a,A2,7 >a,A3,4 >a,A4,3 >a,A5,2 >a,A6,1 >a,A7,15 >a,CS’,13,14
a,Q0,12 >a,Q1,11 >a,Q2,10 >a,Q3,9

@
F93453
a,A0,5 >a,A1,6 >a,A2,7 >a,A3,4 >a,A4,3 >a,A5,2 >a,A6,1 >a,A7,17 >a,A8,16 >a,A9,15
a,CS’,8,10 >a,Q0,14 >a,Q1,13 >a,Q2,12 >a,Q3,11

@
F9401
a,S0,3 >a,S1,5 >a,S2,8 >a,CK’,1 >a,P’,2 >a,MR,4
a,CWE,10 >a,D,11 >a,Q,12 >a,ER,13

@
FICAP
a,G,1 >a,V,2

@
FPLAT
a,P1,1 >a,P2,2 >a,P3,3 >a,P4,4 >a,P5,5 >a,P6,6 >a,P7,7 >a,P8,8 >a,P9,9 >a,P10,10 >a,P11,11
a,P12,12 >a,P13,13 >a,P14,14 >a,P15,15 >a,P16,16 >a,P17,17 >a,P18,18 >a,P19,19 >a,P20,20
b,IN,1 >b,OUT,20 >c,IN,2 >c,OUT,19 >d,IN,3 >d,OUT,18 >e,IN,4 >e,OUT,17
f,IN,5 >f,OUT,16 >g,IN,6 >g,OUT,15 >h,IN,7 >h,OUT,14 >i,IN,8 >i,OUT,13
j,IN,9 >j,OUT,12 >k,IN,10 >k,OUT,11

@
HM7603
a,A0,10 >a,A1,11 >a,A2,12 >a,A3,13 >a,A4,14 >a,CS’,15
a,Q0,1 >a,Q1,2 >a,Q2,3 >a,Q3,4 >a,Q4,5 >a,Q5,6 >a,Q6,7 >a,Q7,9

@
i2708
a,A0,22 >a,A1,23 >a,A2,1 >a,A3,2 >a,A4,3 >a,A5,4 >a,A6,5 >a,A7,6 >a,A8,7 >a,A9,8
a,CS’,20 >a,PD’,18 >a,VD,19 >a,VE,21
a,Q0,9 >a,Q1,10 >a,Q2,11 >a,Q3,13 >a,Q4,14 >a,Q5,15 >a,Q6,16 >a,Q7,17

@
i2114, i2148
a,A0,5 >a,A1,6 >a,A2,7 >a,A3,4 >a,A4,3 >a,A5,2 >a,A6,1 >a,A7,17 >a,A8,16 >a,A9,15
a,CS’,8 >a,WE’,10 >a,Q0,14 >a,Q1,13 >a,Q2,12 >a,Q3,11

@
i2716, i2758
a,A0,19 >a,A1,22 >a,A2,23 >a,A3,1 >a,A4,2 >a,A5,3 >a,A6,4 >a,A7,5 >a,A8,6 >a,A9,7 >a,A10,8
a,CS’,20 >a,PD’,18 >a,VPP,21
a,Q0,9 >a,Q1,10 >a,Q2,11 >a,Q3,13 >a,Q4,14 >a,Q5,15 >a,Q6,16 >a,Q7,17

@
i2147
a,A0,1 >a,A1,2 >a,A2,3 >a,A3,4 >a,A4,5 >a,A5,6 >a,DO,7
a,WE’,8 >a,CS’,10 >a,DIN,11 >a,A11,12 >a,A10,13 >a,A9,14 >a,A8,15 >a,A7,16 >a,A6,17

@
i3101A
a,A0,13 >a,A1,14 >a,A2,15 >a,A3,1 >a,D0,12 >a,D1,10 >a,D2,6 >a,D3,4
a,CS’,2 >a,WE’,3 >a,Q0’,11 >a,Q1’,9 >a,Q2’,7 >a,Q3’,5
b,D,12 >b,Q’,11 >c,D,10 >c,Q’,9 >d,D,6 >d,Q’,7 >e,D,4 >e,Q’,5
f,A0,13 >f,A1,14 >f,A2,15 >f,A3,1 >f,CS’,2 >f,WE’,3

@
i3625
a,A0,5 >a,A1,6 >a,A2,7 >a,A3,4 >a,A4,3 >a,A5,2 >a,A6,1 >a,A7,17 >a,A8,16 >a,A9,15
a,CS’,8,10 >a,Q0,14 >a,Q1,13 >a,Q2,12 >a,Q3,11

@
K1100A, K1114A, K1115A, K1116A, K1144A, K1145A
a,RFout,8

@
LM339
a,+,5 >a,-,4 >a,OUT,2 >b,+,7 >b,-,6 >b,OUT,1 >c,+,9 >c,-,8 >c,OUT,14
d,+,11 >d,-,10 >d,OUT,13 >e,+Pwr,3 >e,-Pwr,12

@
MC14521
a,IN1,9 >a,IN2,6 >a,R,2 >a,OUT1,7 >a,OUT2,4 >a,VDD’,5 >a,VSS’,3
a,Q18,10 >a,Q19,11 >a,Q20,12 >a,Q21,13 >a,Q22,14 >a,Q23,15 >a,Q24,1

@
MCS6502
a,NMI’,6 >a,IRQ’,4 >a,RESET’,40 >a,SO,38 >a,RDY,2 >a,VCC1,8 >a,GND1,1 >a,GND2,21
a,CK1o,3 >a,CK2i,37
a,A15,25 >a,A14,24 >a,A13,23 >a,A12,22 >a,A11,20 >a,A10,19 >a,A09,18 >a,A08,17
a,A07,16 >a,A06,15 >a,A05,14 >a,A04,13 >a,A03,12 >a,A02,11 >a,A01,10 >a,A00,9
a,R/W,34 >a,D7,26 >a,D6,27 >a,D5,28 >a,D4,29 >a,D3,30 >a,D2,31 >a,D1,32 >a,D0,33
a,SYNC,7 >a,CK2o,39

@
MCS6532
a,VCC1,20 >a,GND1,1 >a,CS,38 >a,CS’,37 >a,RS’,36 >a,RESET’,34 >a,CK2,39
a,A06,40 >a,A05,2 >a,A04,3 >a,A03,4 >a,A02,5 >a,A01,6 >a,A00,7
a,R/W,35 >a,D7,26 >a,D6,27 >a,D5,28 >a,D4,29 >a,D3,30 >a,D2,31 >a,D1,32 >a,D0,33
a,IRQ’,25 >a,PA7,15 >a,PA6,14 >a,PA5,13 >a,PA4,12 >a,PA3,11 >a,PA2,10 >a,PA1,9 >a,PA0,8
a,PB7,16 >a,PB6,17 >a,PB5,18 >a,PB4,19 >a,PB3,21 >a,PB2,22 >a,PB1,23 >a,PB0,24

@
MCT6
a,A1,1 >a,K1,2 >a,A2,4 >a,K2,3 >a,A3,5 >a,K3,6 >a,A4,8 >a,K4,7
a,E4,9 >a,C4,10 >a,E3,12 >a,C3,11 >a,E2,13 >a,C2,14 >a,E1,16 >a,C1,15

@
MK4096
a,A0,5 >a,A1,7 >a,A2,6 >a,A3,12 >a,A4,11 >a,A5,10 >a,DIN,2 >a,RAS’,4 >a,CAS’,15 >a,WE’,3 >a,CS’,13 >a,DOUT,14
b,IN,2 >b,OUT,14 >c,A0,5 >c,A1,7 >c,A2,6 >c,A3,12 >c,A4,11 >c,A5,10 >c,RAS’,4 >c,CAS’,15 >c,WE’,3 >c,CS’,13

@
MK16-2, MK4116-2, MK16-3, MK4116-3
a,A0,5 >a,A1,7 >a,A2,6 >a,A3,12 >a,A4,11 >a,A5,10
a,DIN,2 >a,RAS’,4 >a,CAS’,15 >a,WE’,3 >a,A6,13 >a,DOUT,14
b,IN,2 >b,OUT,14 >c,A0,5 >c,A1,7 >c,A2,6 >c,A3,12 >c,A4,11 >c,A5,10 >c,A6,13
c,RAS’,4 >c,CAS’,15 >c,WE’,3 >d,VD,8 >d,VC,9 >d,VE,1

@
N75107
a,c,2 >a,e,5 >a,IN,1 >a,OUT,4 >a,s,6 >b,c,11 >b,e,8 >b,IN,12 >b,OUT,9 >b,s,6 >c,IN,13

@
N75110
a,a,2 >a,e,3 >a,IN,1 >a,o,13 >a,OUT,12 >a,s,10 >b,a,6 >b,e,4 >b,IN,5 >b,o,9 >b,OUT,8 >b,s,10
c,IN,11

@
N75114
a,IN,5,6,7 >a,u,4 >a,v,1 >a,o,3 >a,OUT,2
b,IN,9,10,11 >b,u,12 >b,v,15 >b,o,13 >b,OUT,14

@
N75115
a,+Term,6 >a,+,5 >a,-Ref,7 >a,E,3 >a,Q’,1 >a,PU,2 >a,Resp,4
b,+Term,10 >b,+,11 >b,-Ref,9 >b,E,13 >b,Q’,15 >b,PU,14 >b,Resp,12

@
N75138
a,D0,4 >a,D1,5 >a,D2,11 >a,D3,13 >a,OE’,12
a,R0,3 >a,Y0’,2 >a,R1,6 >a,Y1’,7 >a,R2,10 >a,Y2’,9 >a,R3,14 >a,Y3’,15

@
N75188
a,IN,2 >a,OUT,3 >b,IN,4,5 >b,OUT,6 >c,IN,9,10 >c,OUT,8
d,IN,12,13 >d,OUT,11 >e,IN,14 >e,OUT,1 >f,IN,7

@
N75189
a,IN,1 >a,RC,2 >a,OUT,3 >b,IN,4 >b,RC,5 >b,OUT,6
c,IN,10 >c,RC,9 >c,OUT,8 >d,IN,13 >d,RC,12 >d,OUT,11

@
H00, H03, H08, H32, H37, H38, LS00, LS03, LS08, LS09, LS32, LS37, LS38, LS86
a,IN,1,2 >a,OUT,3 >b,IN,4,5 >b,OUT,6 >c,IN,9,10 >c,OUT,8 >d,IN,12,13 >d,OUT,11

@
N00, N03, N08, N09, N32, N37, N38, N86, S00, S03, S08, S09, S32, S37, S38, S86
a,IN,1,2 >a,OUT,3 >b,IN,4,5 >b,OUT,6 >c,IN,9,10 >c,OUT,8 >d,IN,12,13 >d,OUT,11

@
H01, H02, LS01, LS02, LS28, N01, N02, N28, S01, S02
a,IN,2,3 >a,OUT,1 >b,IN,5,6 >b,OUT,4 >c,IN,8,9 >c,OUT,10 >d,IN,11,12 >d,OUT,13

@
H04, H05, H06, H07, LS04, LS05, LS06, LS07, N04, N05, N06, N07, S04, S05, S06, S07
a,IN,1 >a,OUT,2 >b,IN,3 >b,OUT,4 >c,IN,5 >c,OUT,6
d,IN,9 >d,OUT,8 >e,IN,11 >e,OUT,10 >f,IN,13 >f,OUT,12

@
H10, H11, LS10, LS11, LS27, N10, N27, S10, S11
a,IN,1,2,13 >a,OUT,12 >b,IN,3,4,5 >b,OUT,6 >c,IN,9,10,11 >c,OUT,8

@
H20, H40, LS20, LS40, N20, N40, S20, S40
a,IN,1,2,4,5 >a,OUT,6 >b,IN,9,10,12,13 >b,OUT,8

@
N25
a,IN,1,2,4,5 >a,S,3 >a,OUT,6 >b,IN,9,10,12,13 >b,S,11 >b,OUT,8

@
H30, LS30, N30, S30
a,IN,1,2,3,4,5,6,11,12 >a,OUT,8

@
H50, N50
a,a,2,3 >a,b,4,5 >a,OUT,6 >b,a,1,13 >b,b,9,10 >b,x,11 >b,x’,12 >b,OUT,8

@
H51, N51, S51
a,a,2,3 >a,b,4,5 >a,OUT,6 >b,a,1,13 >b,b,9,10 >b,OUT,8

@
LS51
a,a,2,3 >a,b,4,5 >a,OUT,6 >b,a,1,13,12 >b,b,9,10,11 >b,OUT,8

@
H53, N53
a,a,1,13 >a,b,2,3 >a,c,4,5 >a,d,9,10 >a,x,11 >a,x’,12 >a,OUT,8

@
H60, N60
a,IN,1,2,3,13 >a,x,11 >a,x’,12 >b,IN,4,5,6,8 >b,x,10 >b,x’,9

@
S64
a,a,1,11,12,13 >a,b,2,3 >a,c,4,5,6 >a,d,9,10 >a,OUT,8

@
H73, LS73, N73
a,J,14 >a,C’,1 >a,K,3 >a,R’,2 >a,Q,12 >a,Q’,13
b,J,7 >b,C’,5 >b,K,10 >b,R’,6 >b,Q,9 >b,Q’,8

@
H74, LS74, N74, S74
a,D,2 >a,C,3 >a,R’,1 >a,S’,4 >a,Q,5 >a,Q’,6 >b,D,12 >b,C,11 >b,R’,13 >b,S’,10 >b,Q,9 >b,Q’,8
c,D,2 >c,Q,5 >c,Q’,6 >d,C,3 >d,R’,1 >d,S’,4 >e,D,12 >e,Q,9 >e,Q’,8 >f,C,11 >f,R’,13 >f,S’,10

@
LS85, N85, S85
a,X0,15 >a,Y0,1 >a,X1,13 >a,Y1,14 >a,X2,12 >a,Y2,11 >a,X3,10 >a,Y3,9
a,>,4 >a,=,3 >a,<,2 >a,X>Y,5 >a,X=Y,6 >a,X<Y,7

@
LS109, N109
a,C,4 >a,J,2 >a,K’,3 >a,Q,6 >a,Q’,7 >a,R’,1 >a,S’,5
b,C,12 >b,J,14 >b,K’,13 >b,Q,10 >b,Q’,9 >b,R’,15 >b,S’,11

@
S112
a,C’,1 >a,J,3 >a,K,2 >a,Q,5 >a,Q’,6 >a,R’,15 >a,S’,4
b,C’,13 >b,J,11 >b,K,12 >b,Q,9 >b,Q’,7 >b,R’,14 >b,S’,10

@
LS123, N123
a,a’,1 >a,b,2 >a,CL’,3 >a,R,15 >a,C,14 >a,Q,13 >a,Q’,4
b,b,10 >b,a’,9 >b,CL’,11 >b,R,7 >b,C,6 >b,Q,5 >b,Q’,12

@
LS125, N125, N425
a,EN,1 >a,IN,2 >a,OUT,3 >b,EN,4 >b,IN,5 >b,OUT,6
c,EN,10 >c,IN,9 >c,OUT,8 >d,EN,13 >d,IN,12 >d,OUT,11

@
N128
a,IN,2,3 >a,OUT,1 >b,IN,5,6 >b,OUT,4 >c,IN,8,9 >c,OUT,10 >d,IN,11,12 >d,OUT,13

@
S133
a,IN,1,2,3,4,5,6,7,10,11,12,13,14,15 >a,OUT,9

@
S135
a,IN,1,2 >a,a,5,6 >a,c,4 >a,OUT,3 >a,o,7
b,IN,10,11 >b,a,14,15 >b,c,12 >b,OUT,9 >b,o,13

@
LS138, S138
a,S4,3 >a,S2,2 >a,S1,1 >a,E’,4,5 >a,E,6
a,Q0’,15 >a,Q1’,14 >a,Q2’,13 >a,Q3’,12 >a,Q4’,11 >a,Q5’,10 >a,Q6’,9 >a,Q7’,7

@
LS139, S139
a,AS2,3 >a,AS1,2 >a,BS2,13 >a,BS1,14 >a,EA’,1 >a,EB’,15
a,A0’,4 >a,A1’,5 >a,A2’,6 >a,A3’,7 >a,B0’,12 >a,B1’,11 >a,B2’,10 >a,B3’,9
b,E’,1 >b,Q0’,4 >b,Q1’,5 >b,Q2’,6 >b,Q3’,7 >b,S1,2 >b,S2,3
c,E’,15 >c,Q0’,12 >c,Q1’,11 >c,Q2’,10 >c,Q3’,9 >c,S1,14 >c,S2,13

@
N143
a,a’,15 >a,b’,16 >a,c’,14 >a,d’,9 >a,dp’,8 >a,e’,11 >a,f’,10 >a,g’,13 >a,BI,5
a,CLK,2 >a,CLR’,3 >a,DPI,7 >a,LSI,21 >a,MC’,22 >a,PCEI’,23
a,QA,17 >a,QB,18 >a,QC,19 >a,QD,20 >a,RBI’,4 >a,RBO’,6 >a,SCEI’,1

@
N148
a,D0’,4 >a,D1’,3 >a,D2’,2 >a,D3’,1 >a,D4’,13 >a,D5’,12 >a,D6’,11 >a,D7’,10
a,EI’,5 >a,GS’,14 >a,EO’,15 >a,H0,6 >a,H1,7 >a,H2,9

@
N150
a,E’,9 >a,I0,8 >a,I1,7 >a,I2,6 >a,I3,5 >a,I4,4 >a,I5,3 >a,I6,2 >a,I7,1
a,I8,23 >a,I9,22 >a,I10,21 >a,I11,20 >a,I12,19 >a,I13,18 >a,I14,17 >a,I15,16
a,S1,15 >a,S2,14 >a,S4,13 >a,S8,11 >a,W’,10

@
LS151, LS251, N151, N251, S151, S251
a,E’,7 >a,D0,4 >a,D1,3 >a,D2,2 >a,D3,1 >a,D4,15 >a,D5,14 >a,D6,13 >a,D7,12
a,S4,9 >a,S2,10 >a,S1,11 >a,Y,5 >a,W’,6

@
LS153, LS253, N153, N253, S153, S253
a,EX’,1 >a,X0,6 >a,X1,5 >a,X2,4 >a,X3,3 >a,S2,2 >a,S1,14
a,Y0,10 >a,Y1,11 >a,Y2,12 >a,Y3,13 >a,EY’,15 >a,OX,7 >a,OY,9
b,X0,6 >b,X1,5 >b,X2,4 >b,X3,3 >b,OX,7 >c,X0,10 >c,X1,11 >c,X2,12 >c,X3,13 >c,OX,9
d,S2,2 >d,S1,14 >d,EX’,1 >d,EY’,15

@
LS155, N155
a,DQ,1 >a,EQ’,2 >a,S2,3 >a,S1,13 >a,DR’,15 >a,ER’,14
a,Q0’,7 >a,Q1’,6 >a,Q2’,5 >a,Q3’,4 >a,R0’,9 >a,R1’,10 >a,R2’,11 >a,R3’,12

@
LS157, LS257, N157, S157, S257
a,D0,2 >a,B0,3 >a,D1,5 >a,B1,6 >a,D2,11 >a,B2,10 >a,D3,14 >a,B3,13
a,SB,1 >a,E’,15 >a,Q0,4 >a,Q1,7 >a,Q2,9 >a,Q3,12
b,D,2 >b,B,3 >b,Q,4 >c,D,5 >c,B,6 >c,Q,7 >d,D,11 >d,B,10 >d,Q,9
e,D,14 >e,B,13 >e,Q,12 >f,SB,1 >f,E’,15

@
LS158, LS258, S158, S258
a,D0,2 >a,B0,3 >a,D1,5 >a,B1,6 >a,D2,11 >a,B2,10 >a,D3,14 >a,B3,13
a,SB,1 >a,E’,15 >a,Q0’,4 >a,Q1’,7 >a,Q2’,9 >a,Q3’,12
b,D,2 >b,B,3 >b,Q’,4 >c,D,5 >c,B,6 >c,Q’,7
d,D,11 >d,B,10 >d,Q’,9 >e,D,14 >e,B,13 >e,Q’,12 >f,SB,1 >f,E’,15

@
N160, N161, N162, N163, LS160, LS161, LS162, LS163, S162, S163
a,B0,6 >a,B1,5 >a,B2,4 >a,B3,3 >a,EP,7 >a,ET,10 >a,CL’,1 >a,CK,2
a,LD’,9 >a,CO,15 >a,H0,11 >a,H1,12 >a,H2,13 >a,H3,14
b,IN,6 >b,OUT,11 >c,IN,5 >c,OUT,12 >d,IN,4 >d,OUT,13 >e,IN,3 >e,OUT,14
f,EP,7 >f,ET,10 >f,CL’,1 >f,CK,2 >f,LD’,9 >f,CO,15

@
LS164, N164
a,DA,1 >a,DB,2 >a,CK,8 >a,CL’,9
a,H0,3 >a,H1,4 >a,H2,5 >a,H3,6 >a,H4,10 >a,H5,11 >a,H6,12 >a,H7,13

@
LS169, S169
a,B0,6 >a,B1,5 >a,B2,4 >a,B3,3 >a,CK,2 >a,EP’,7 >a,ET’,10
a,H0,11 >a,H1,12 >a,H2,13 >a,H3,14 >a,LD’,9 >a,RC’,15 >a,UD,1

@
LS165, N165
a,A,11 >a,B,12 >a,C,13 >a,D,14 >a,E,3 >a,F,4 >a,G,5 >a,H,6
a,SL,1 >a,SI,10 >a,CK,2 >a,CE’,15 >a,QH,9 >a,QH’,7

@
LS166, N166
a,A,2 >a,B,3 >a,C,4 >a,D,5 >a,E,10 >a,F,11 >a,G,12 >a,H,14
a,SI,1 >a,SL,15 >a,CE’,6 >a,CK,7 >a,QH,13 >a,CL’,9

@
LS170, LS670, N170, S170
a,R0,4 >a,R1,5 >a,W0,13 >a,W1,14 >a,D0,15 >a,D1,1 >a,D2,2 >a,D3,3
a,RE’,11 >a,WE’,12 >a,Q0,10 >a,Q1,9 >a,Q2,7 >a,Q3,6
b,IN,15 >b,OUT,10 >c,IN,1 >c,OUT,9 >d,IN,2 >d,OUT,7 >e,IN,3 >e,OUT,6
f,R0,4 >f,R1,5 >f,W0,13 >f,W1,14 >f,RE’,11 >f,WE’,12

@
LS174, N174, S174
a,D0,3 >a,D1,4 >a,D2,6 >a,D3,11 >a,D4,13 >a,D5,14 >a,CK,9 >a,CL’,1
a,Q0,2 >a,Q1,5 >a,Q2,7 >a,Q3,10 >a,Q4,12 >a,Q5,15
b,IN,3 >b,OUT,2 >c,IN,4 >c,OUT,5 >d,IN,6 >d,OUT,7 >e,IN,11 >e,OUT,10
f,IN,13 >f,OUT,12 >g,IN,14 >g,OUT,15 >h,CK,9 >h,CL’,1

@
LS175, N175, S175
a,D0,4 >a,D1,5 >a,D2,12 >a,D3,13 >a,CK,9 >a,CL’,1
a,Q0,2 >a,Q0’,3 >a,Q1,7 >a,Q1’,6 >a,Q2,10 >a,Q2’,11 >a,Q3,15 >a,Q3’,14
b,D,4 >b,Q,2 >b,Q’,3 >c,D,5 >c,Q,7 >c,Q’,6
d,D,12 >d,Q,10 >d,Q’,11 >e,D,13 >e,Q,15 >e,Q’,14 >f,CK,9 >f,CL’,1

@
N180
a,IN,1,2,8,9,10,11,12,13 >a,EVI,3 >a,ODI,4 >a,EVN,5 >a,ODD,6

@
LS181, N181, S181
a,D0,19 >a,E0,18 >a,D1,21 >a,E1,20 >a,D2,23 >a,E2,22 >a,D3,2 >a,E3,1
a,CIN,7 >a,M,8 >a,F0,3 >a,F1,4 >a,F2,5 >a,F3,6 >a,H0,13 >a,H1,11 >a,H2,10 >a,H3,9
a,Gg’,17 >a,Pg’,15 >a,COUT,16 >a,A=B,14
b,D,19 >b,E,18 >b,H,13 >c,D,21 >c,E,20 >c,H,11
d,D,23 >d,E,22 >d,H,10 >e,D,2 >e,E,1 >e,H,9
f,CIN,7 >f,M,8 >f,F0,3 >f,F1,4 >f,F2,5 >f,F3,6 >f,Gg’,17 >f,Pg’,15 >f,COUT,16 >f,A=B,14

@
N182, S182
a,CIN,13 >a,PI0’,6 >a,GI0’,5 >a,PI1’,15 >a,GI1’,14 >a,PI2’,2 >a,GI2’,1
a,PI3’,4 >a,GI3’,3 >a,CX,12 >a,CY,11 >a,CZ,9 >a,Gg’,10 >a,Pg’,7

@
N188, S188, S288
a,A0,14 >a,A1,13 >a,A2,12 >a,A3,11 >a,A4,10 >a,CS’,15
a,Q0,9 >a,Q1,7 >a,Q2,6 >a,Q3,5 >a,Q4,4 >a,Q5,3 >a,Q6,2 >a,Q7,1

@
N190, N191, LS190, LS191, S190
a,B0,9 >a,B1,10 >a,B2,1 >a,B3,15 >a,UD,5 >a,CK,14 >a,CE’,4 >a,LD’,11 >a,RC’,13
a,H0,7 >a,H1,6 >a,H2,2 >a,H3,3 >a,MM,12

@
S189
a,A0,13 >a,A1,14 >a,A2,15 >a,A3,1 >a,D0,12 >a,D1,10 >a,D2,6 >a,D3,4
a,CS’,2 >a,WE’,3 >a,Q0’,11 >a,Q1’,9 >a,Q2’,7 >a,Q3’,5
b,D,12 >b,Q’,11 >c,D,10 >c,Q’,9 >d,D,6 >d,Q’,7 >e,D,4 >e,Q’,5
f,A0,13 >f,A1,14 >f,A2,15 >f,A3,1 >f,CS’,2 >f,WE’,3

@
LS192, LS193, N192, N193, S192
a,B0,9
a,B1,10
a,B2,1
a,B3,15
a,CU,5
a,CD,4
a,CL,14
a,LD’,11
a,BO’,13
a,H0,7
a,H1,6
a,H2,2
a,H3,3
a,CO’,12

@
LS194, N194, S194
a,RI,7 >a,D0,6 >a,D1,5 >a,D2,4 >a,D3,3 >a,LI,2 >a,S2,9 >a,S1,10
a,CK,11 >a,CL’,1 >a,H0,12 >a,H1,13 >a,H2,14 >a,H3,15

@
S208
a,A0,4 >a,A1,3 >a,A2,2 >a,A3,1 >a,A4,21 >a,A5,5 >a,A6,6 >a,A7,7
a,CE1’,19 >a,CE2,17 >a,D0,9 >a,D1,11 >a,D2,13 >a,D3,15
a,OE’,18 >a,Q0,10 >a,Q1,12 >a,Q2,14 >a,Q3,15 >a,WE’,20
b,IN,9 >b,OUT,10 >c,IN,11 >c,OUT,12 >d,IN,13 >d,OUT,14 >e,IN,15 >e,OUT,16
f,A0,4 >f,A1,3 >f,A2,2 >f,A3,1 >f,A4,21 >f,A5,5 >f,A6,6 >f,A7,7
f,CE1’,19 >f,CE2,17 >f,OE’,18 >f,WE’,20

@
S225
a,CKA,1 >a,CKB,19 >a,CKI,16 >a,CKO,3 >a,CLR’,18 >a,D0,4 >a,D1,5 >a,D2,6 >a,D3,7 >a,D4,8
a,IR,2 >a,OE’,9 >a,OR,17 >a,Q0,15 >a,Q1,14 >a,Q2,13 >a,Q3,12 >a,Q4,11
b,IN,4 >b,OUT,15 >c,IN,5 >c,OUT,14 >d,IN,6 >d,OUT,13 >e,IN,7 >e,OUT,12 >f,IN,8 >f,OUT,11
g,CKA,1 >g,CKB,19 >g,CKI,16 >g,CKO,3 >g,CLR’,18 >g,IR,2 >g,OE’,9 >g,OR,17

@
LS240, S240
a,IN,2 >a,OUT,18 >b,IN,4 >b,OUT,16 >c,IN,6 >c,OUT,14 >d,IN,8 >d,OUT,12 >i,EN’,1
e,IN,17 >e,OUT,3 >f,IN,15 >f,OUT,5 >g,IN,13 >g,OUT,7 >h,IN,11 >h,OUT,9 >j,EN’,19
k,IN,8 >k,OUT,12 >k,EN’,1 >l,IN,11 >l,OUT,9 >l,EN’,19

@
LS241, S241
a,IN,2 >a,OUT,18 >b,IN,4 >b,OUT,16 >c,IN,6 >c,OUT,14 >d,IN,8 >d,OUT,12 >i,EN’,1
e,IN,17 >e,OUT,3 >f,IN,15 >f,OUT,5 >g,IN,13 >g,OUT,7 >h,IN,11 >h,OUT,9 >j,EN,19

@
LS244, S244
a,IN,2 >a,OUT,18 >b,IN,4 >b,OUT,16 >c,IN,6 >c,OUT,14 >d,IN,8 >d,OUT,12 >i,EN’,1
e,IN,17 >e,OUT,3 >f,IN,15 >f,OUT,5 >g,IN,13 >g,OUT,7 >h,IN,11 >h,OUT,9 >j,EN’,19
k,IN,8 >k,OUT,12 >k,EN’,1 >l,IN,11 >l,OUT,9 >l,EN’,19

@
LS245
a,IN,2 >a,OUT,18 >b,IN,3 >b,OUT,17 >c,IN,4 >c,OUT,16 >d,IN,5 >d,OUT,15
e,IN,6 >e,OUT,14 >f,IN,7 >f,OUT,13 >g,IN,8 >g,OUT,12 >h,IN,9 >h,OUT,11 >i,EN’,19 >i,R/W’,1

@
LS259
a,CL’,15 >a,D,13 >a,EN’,14 >a,S1,1 >a,S2,2 >a,S4,3
a,Q0,4 >a,Q1,5 >a,Q2,6 >a,Q3,7 >a,Q4,9 >a,Q5,10 >a,Q6,11 >a,Q7,12

@
LS260, S260
a,IN,1,2,3,12,13 >a,OUT,5 >b,IN,4,8,9,10,11 >b,OUT,6

@
N265
a,IN,1 >a,o,2 >a,OUT,3 >b,IN,4,5 >b,o,6 >b,OUT,7
c,IN,11,12 >c,o,10 >c,OUT,9 >d,IN,15 >d,o,14 >d,OUT,13

@
LS273
a,CK,11 >a,CL’,1 >a,D0,3 >a,D1,4 >a,D2,7 >a,D3,8 >a,D4,13 >a,D5,14 >a,D6,17 >a,D7,18
a,Q0,2 >a,Q1,5 >a,Q2,6 >a,Q3,9 >a,Q4,12 >a,Q5,15 >a,Q6,16 >a,Q7,19
b,IN,3 >b,OUT,2 >c,IN,4 >c,OUT,5 >d,IN,7 >d,OUT,6 >e,IN,8 >e,OUT,9 >f,IN,13
f,OUT,12 >g,IN,14 >g,OUT,15 >h,IN,17 >h,OUT,16 >i,IN,18 >i,OUT,19 >j,CK,11 >j,CL’,1

@
S280
a,IN,1,2,4,8,9,10,11,12,13 >a,EVN,5 >a,ODD,6

@
LS283, N283, S283
a,D0,12 >a,E0,11 >a,D1,14 >a,E1,15 >a,D2,3 >a,E2,2 >a,D3,5 >a,E3,6
a,CI,7 >a,CO,9 >a,H0,10 >a,H1,13 >a,H2,1 >a,H3,4
b,D,12 >b,E,11 >b,H,10 >c,D,14 >c,E,15 >c,H,13 >d,D,3 >d,E,2 >d,H,1
e,D,5 >e,E,6 >e,H,4 >f,CI,7 >f,CO,9

@
N298, LS298
a,D0,7 >a,B0,6 >a,D1,9 >a,B1,5 >a,D2,4 >a,B2,1 >a,D3,3 >a,B3,2
a,SB,10 >a,CK’,11 >a,Q0,12 >a,Q1,13 >a,Q2,14 >a,Q3,15
b,D,7 >b,B,6 >b,Q,12 >c,D,9 >c,B,5 >c,Q,13 >d,D,4 >d,B,1 >d,Q,14
e,D,3 >e,B,2 >e,Q,15 >f,SB,10 >f,CK’,11

@
LS299, S299
a,CK,12 >a,CL’,9 >a,DQ0,7 >a,DQ1,13 >a,DQ2,6 >a,DQ3,14 >a,DQ4,5 >a,DQ5,15 >a,DQ6,4 >a,DQ7,16
a,G1’,2 >a,G2’,3 >a,Q0,8 >a,Q7,17 >a,SLI,18 >a,SRI,11 >a,SL’,1 >a,SR’,19

@
LS352
a,EX’,1 >a,X0,6 >a,X1,5 >a,X2,4 >a,X3,3 >a,S2,2 >a,S1,14
a,Y0,10 >a,Y1,11 >a,Y2,12 >a,Y3,13 >a,EY’,15 >a,OX’,7 >a,OY’,9
b,X0,6 >b,X1,5 >b,X2,4 >b,X3,3 >b,OX’,7 >c,X0,10 >c,X1,11 >c,X2,12 >c,X3,13 >c,OX’,9
d,S2,2 >d,S1,14 >d,EX’,1 >d,EY’,15

@
LS373, S373
a,EN,11 >a,D0,3 >a,D1,4 >a,D2,7 >a,D3,8 >a,D4,13 >a,D5,14 >a,D6,17 >a,D7,18
a,OC’,1 >a,Q0,2 >a,Q1,5 >a,Q2,6 >a,Q3,9 >a,Q4,12 >a,Q5,15 >a,Q6,16 >a,Q7,19
j,EN,11 >j,OC’,1
b,IN,3 >b,OUT,2 >c,IN,4 >c,OUT,5 >d,IN,7 >d,OUT,6 >e,IN,8 >e,OUT,9
f,IN,13 >f,OUT,12 >g,IN,14 >g,OUT,15 >h,IN,17 >h,OUT,16 >i,IN,18 >i,OUT,19

@
LS374, S374
a,CK,11 >a,D0,3 >a,D1,4 >a,D2,7 >a,D3,8 >a,D4,13 >a,D5,14 >a,D6,17 >a,D7,18
a,OC’,1 >a,Q0,2 >a,Q1,5 >a,Q2,6 >a,Q3,9 >a,Q4,12 >a,Q5,15 >a,Q6,16 >a,Q7,19
b,IN,3 >b,OUT,2 >c,IN,4 >c,OUT,5 >d,IN,7 >d,OUT,6 >e,IN,8 >e,OUT,9
f,IN,13 >f,OUT,12 >g,IN,14 >g,OUT,15 >h,IN,17 >h,OUT,16 >i,IN,18 >i,OUT,19
j,CK,11 >j,OC’,1

@
LS378
a,D0,3 >a,D1,4 >a,D2,6 >a,D3,11 >a,D4,13 >a,D5,14 >a,CK,9 >a,E’,1
a,Q0,2 >a,Q1,5 >a,Q2,7 >a,Q3,10 >a,Q4,12 >a,Q5,15
b,IN,3 >b,OUT,2 >c,IN,4 >c,OUT,5 >d,IN,6 >d,OUT,7 >e,IN,11 >e,OUT,10
f,IN,13 >f,OUT,12 >g,IN,14 >g,OUT,15 >h,CK,9 >h,E’,1

@
S378
a,CK,11 >a,D0,3 >a,D1,4 >a,D2,7 >a,D3,8 >a,D4,13 >a,D5,14 >a,D6,17 >a,D7,18
a,OC’,1 >a,Q0’,2 >a,Q1’,5 >a,Q2’,6 >a,Q3’,9 >a,Q4’,12 >a,Q5’,15 >a,Q6’,16 >a,Q7’,19
b,D,3 >b,Q’,2 >c,D,4 >c,Q’,5 >d,D,7 >d,Q’,6 >e,D,8 >e,Q’,9 >f,D,13 >f,Q’,12
g,D,14 >g,Q’,15 >h,D,17 >h,Q’,16 >i,D,18 >i,Q’,19 >j,CK,11 >j,OC’,1

@
S381
a,D0,17 >a,E0,16 >a,D1,19 >a,E1,18 >a,D2,1 >a,E2,2 >a,D3,3 >a,E3,4 >a,Cin,15
a,S0,7 >a,S1,6 >a,S2,5 >a,Pg’,14 >a,Gg’,13 >a,H0,12 >a,H1,11 >a,H2,9 >a,H3,8
b,D,17 >b,E,16 >b,H,12 >c,D,19 >c,E,18 >c,H,11 >d,D,1 >d,E,2 >d,H,9
e,D,3 >e,E,4 >e,H,8 >f,Cin,15 >f,S0,7 >f,S1,6 >f,S2,5 >f,Pg’,14 >f,Gg’,13

@
LS393
a,CL,2 >a,D3’,1 >a,Q0,6 >a,Q1,5 >a,Q2,4 >a,Q3,3
b,CL,12 >b,D3’,13 >b,Q0,8 >b,Q1,9 >b,Q2,10 >b,Q3,11

@
N425
a,EN,1 >a,IN,2 >a,OUT,3 >b,EN,4 >b,IN,5 >b,OUT,6
c,EN,10 >c,IN,9 >c,OUT,8 >d,EN,13 >d,IN,12 >d,OUT,11

@
pr
a,IN,1 >b,IN,2 >c,IN,3 >d,IN,4 >e,IN,5 >f,IN,6 >g,IN,7 >h,IN,8
i,IN,9 >j,IN,10 >k,IN,11 >l,IN,12 >m,IN,13 >n,IN,14 >o,IN,15 >p,IN,16

@
PLAT,PLAT1, PLAT8, PLAT16, PLAT18, PLAT116, PLAT816, PLAT1816, PULLUP
a,P1,1 >a,P2,2 >a,P3,3 >a,P4,4 >a,P5,5 >a,P6,6 >a,P7,7 >a,P8,8
a,P9,9 >a,P10,10 >a,P11,11 >a,P12,12 >a,P13,13 >a,P14,14 >a,P15,15 >a,P16,16
b,IN,1 >c,IN,2 >d,IN,3 >e,IN,4 >f,IN,5 >g,IN,6 >h,IN,7 >i,IN,8
j,IN,9 >k,IN,10 >l,IN,11 >m,IN,12 >n,IN,13 >o,IN,14 >p,IN,15 >q,IN,16

@
SN52111
a,V11,2 >a,V21,3 >a,BS1,14 >a,B1,13 >a,C1,15 >a,E1,1 >a,G1,4 >a,X1,16 >a,V12,6 >a,V22,7
a,BS2,10 >a,B2,9 >a,C2,11 >a,E2,5 >a,G2,8 >a,X2,12

@
SPLAT
a,P1,2 >a,P2,3 >a,P3,4 >a,P4,5 >a,P5,6 >a,P6,7 >a,P7,8 >a,P8,9 >a,P9,12 >a,P10,13
a,P11,14 >a,P12,15 >a,P13,16 >a,P14,17 >a,P15,18 >a,P16,19 >b,IN,10 >c,IN,20

@
sr16
a,P1,1 >a,P2,2 >a,P3,3 >a,P4,4 >a,P5,5 >a,P6,6 >a,P7,7 >a,P8,8
a,P9,9 >a,P10,10 >a,P11,11 >a,P12,12 >a,P13,13 >a,P14,14 >a,P15,15 >a,P16,16
b,IN,1 >b,OUT,16 >c,IN,2 >c,OUT,15 >d,IN,3 >d,OUT,14 >e,IN,4 >e,OUT,13
f,IN,5 >f,OUT,12 >g,IN,6 >g,OUT,11 >h,IN,7 >h,OUT,10 >i,IN,8 >i,OUT,9

@
sr16r
a,P1,1 >a,P2,2 >a,P3,3 >a,P4,4 >a,P5,5 >a,P6,6 >a,P7,7 >a,P8,8
a,P9,9 >a,P10,10 >a,P11,11 >a,P12,12 >a,P13,13 >a,P14,14 >a,P15,15 >a,P16,16
b,IN,16 >b,OUT,1 >c,IN,15 >c,OUT,2 >d,IN,14 >d,OUT,3 >e,IN,13 >e,OUT,4
f,IN,12 >f,OUT,5 >g,IN,11 >g,OUT,6 >h,IN,10 >h,OUT,7 >i,IN,9 >i,OUT,8

@
25S09
a,D0,3 >a,B0,4 >a,D1,6 >a,B1,5 >a,D2,11 >a,B2,12 >a,D3,14 >a,B3,13
a,SB,1 >a,CK,9 >a,Q0,2 >a,Q1,7 >a,Q2,10 >a,Q3,15
b,D,3 >b,B,4 >b,Q,2 >c,D,6 >c,B,5 >c,Q,7
d,D,11 >d,B,12 >d,Q,10 >e,D,14 >e,B,13 >e,Q,15 >f,SB,1 >f,CK,9

@
25S10
a,I0,4 >a,I1,5 >a,I2,6 >a,I3,7 >a,I-1,3 >a,I-2,2 >a,I-3,1
a,S1,9 >a,S0,10 >a,OE’,13 >a,Y0,15 >a,Y1,14 >a,Y2,12 >a,Y3,11

@
25S18
a,D0,15 >a,D1,12 >a,D2,4 >a,D3,1 >a,OE’,7 >a,CK,9
a,Y0,13 >a,Y1,10 >a,Y2,6 >a,Y3,3 >a
,Q0,14 >a,Q1,11 >a,Q2,5 >a,Q3,2

@
8
2S27
a,A
0,5 >a,A1,6 >a,A2,7 >a,A3,4 >a,A4,3 >a,A5,2 >a,A6,1 >a,A7,15
a,C
S’,13,14 >a,Q0,12 >a,Q1,11 >a,Q2,10 >a,Q3,9

@
82
S137
a,A
0,5 >a,A1,6 >a,A2,7 >a,A3,4 >a,A4,3 >a,A5,2 >a,A6,1 >a,A7,17 >a,A8,16 >a,A9,15
a,
CS’,8,10 >a,Q0,14 >a,Q1,13 >a,Q2,12 >a,Q3,11

@
8T09
a,IN,1 >a,EN’,2 >a,OUT,3 >b,IN,5 >b,EN’,4 >b,OUT,6
c,IN,9 >c,EN’,10 >c,OUT,8 >d,IN,13 >d,EN’,12 >d,OUT,11

@
8T10
a,ID0,10 >a,ID1,9 >a,OD0,1 >a,OD1,2 >a,D3,14 >a,D2,13 >a,D1,12 >a,D0,11
a,
Q3,3 >a,Q2,4 >a,Q1,5 >a,Q0,6 >a,CK,7 >a,CL,15
b
,IN,11 >b,OUT,6 >c,IN,12 >c,OUT,5 >d,IN,13 >d,OUT,4 >e,IN,14 >e,OUT,3
f,ID0,10 >f,ID1,9 >f,OD0,1 >f,OD1,2 >f,CK,7 >f,CL,15

@
8T
96
a,IN,
2 >a,OUT,3 >b,IN,4 >b,OUT,5 >c,IN,6 >c,OUT,7 >d,IN,10 >d,OUT,9
e
,IN,12 >e,OUT,11 >f,IN,14 >f,OUT,13 >g,EN’,1,15

@

#

F93422
[M 9 {B-5.0 5.0}>10, 11>12, 13>14, 15>16: CLK 20 (B+0 B+35.0 5.0 40.0) ADDR (1 2 3 4 5 6 7 21) (5.0 45.0 B-10.0 5.0) CE (17 18 19) (4.0 30.0 B-5.0 5.0)]

F93427
[M 0 {0.0 0.0}>(9 10 11 12): ADDR (1 2 3 4 5 6 7 15) (5.0 45.0 0.0 0.0) CE (13 14) (3.0 20.0 0.0 0.0)]

F93453
[M 0 {0.0 0.0}>(11 12 13 14): ADDR (1 2 3 4 5 6 7 15 16 17) (6.0 55.0 0.0 0.0) CE (8 10) (3.0 25.0 0.0 0.0)]

HM7603
[G (10 11 12 13 14)>(1 2 3 4 5 6 7 9) : 5.0 40.0 ][G 15>(1 2 3 4 5 6 7 9) : 4.0 30.0 ]

i2125
[M 15 {B-5.0 5.0}>7: CLK 14 (B+55 B+95 5 45) ADDR (2 3 4 5 6 9 10 11 12 13) (20 95 B-30 5) CE 1 (5 45 B-5 5)]

i2147
[M 11 {30.0 10.0}>7: CLK 8 (B+0.0 B+35.0 0.0 55.0) ADDR (1 2 3 4 5 6) (5.0 70.0 B+0.0 0.0) CE 10 (0.0 80.0 B+0.0 0.0)]

i2708
[G (1 2 3 4 5 6 7 8 22 23)>(9 10 11 13 14 15 16 17) : 50.0 450.0 ][G 20>(9 10 11 13 14 15 16 17) : 30.0 120.0 ]

i2716
[G (1 2 3 4 5 6 7 8 19 22 23)>(9 10 11 13 14 15 16 17) : 50.0 450.0 ][G 20>(9 10 11 13 14 15 16 17) : 30.0 120.0 ]

i2758
[G (1 2 3 4 5 6 7 8 22 23)>(9 10 11 13 14 15 16 17) : 50.0 450.0 ][G 20>(9 10 11 13 14 15 16 17) : 30.0 120.0 ]

i3101A
[M 4 {B+0.0 0.0}>5, 6>7, 10>9, 12>11: CLK 3 (5.0 17.0 0.0 35.0) ADDR (1 13 14 15) (10.0 35.0 0.0 0.0)]

i3601
[M 0 {0.0 0.0}>(9 10 11 12): ADDR (1 2 3 4 5 6 7 15) (10.0 70.0 0.0 0.0) CE (13 14) (3.0 25.0 0.0 0.0)]

LS08
[G (1 2)>3, (4 5)>6, (9 10)>8, (12 13)>11 : 3.0 22 ]

LS32
[G (1 2)>3, (4 5)>6, (9 10)>8, (12 13)>11 : 3.0 24 ]

LS86
[G (1 2)>3, (4 5)>6, (9 10)>8, (12 13)>11 : 4.0 33 ]

LS153
[G (3 4 5 6)>7 : 4 28.6 ][G (10 11 12 13)>9 : 4 28.6 ][G (2 14)>(7 9) : 5 41.8 ][G (1 15)>(7 9) : 4.0 35.2 ]

LS164
[FF (1 2){0 5}>(3 4 5 6 10 11 12 13): CLK 8 (4 35.2) RS 9 (5 39.6 x x x)]

LS175
[FF 4{0 5.5}>(2 3), 5>(7 6), 12>(10 11), 13>(15 14) : CLK 9 (4.0 33.0) RS 1 (5.0 39.0 x x x)]

LS194
[FF (2 3)>15, 4>14, 5>13, 6>12, (9 10)>(12 13 14 15) : CLK 11 (6.0 28.6) ][G 1>(12 13 14 15) : 3.0 33 ]
LS240
[G 2>18, 4>16, 6>14, 8>12, 17>3, 15>5, 13>7, 11>9: 2 20 ][G 1>(12 14 16 18), 19>(3 65 7 9): 2 33 ]

LS273
[FF 3{0.0 5.0}>2, 4>5, 7>6, 8>9, 13>12, 14>15, 17>16, 18>19 : CLK 11 (3.0 30.0) RS 1 (3.0 30.0 x x x)]

MK4096
[M 2 {0.0 150.0}>14: CLK 3 (B+1.0 B+100.0 1.0 100.0) ADDR ( 5 6 7 10 11 12 13) (350.0 500.0 0.0 0.0) CE (4 15) (200.0 375.0 0.0 0.0)]

MK16-2, MK4116-2
[M 2 {0.0 45.0}>14: CLK 3 (B+1.0 B+40.0 1.0 40.0) ADDR ( 5 6 7 10 11 12 13) (200.0 375.0 0.0 0.0) CE (4 15) (200.0 375.0 0.0 0.0)]

MK16-3, MK4116-3
[M 2 {0.0 45.0}>14: CLK 3 (B+1.0 B+40.0 1.0 40.0) ADDR ( 5 6 7 10 11 12 13) (200.0 375.0 0.0 0.0) CE (4 15) (200.0 375.0 0.0 0.0)]

N148
[G (1 2 3 4 10 11 12 13)>(6 7 9): 2.0 21.0 ][G (1 2 3 4 10 11 12 13)>15 : 2.0 28.0][G (1 2 3 4 10 11 12 13)>14 : 3.0 33.0][G 5>(6 7 9 14) : 2.0 17.0][G 5>15 : 2.0 33.0]

N75107
[G (1 2)>4, (11 12)>9 : 3.0 28.0 ][G (56)>4, (6 8)>9 : 2.0 22.0]

N75110
[G (1 2)>(12 13), (5 6)>(8 9) : 2.0 17.0 ][G (3 10)>(12 13), (4 10)>(8 9) : 3.0 28.0 ]

N75114
[G (5 6 7)>(1 2 3 4), (9 10 11)>(12 13 14 15) : 3.0 33.0 ]

N75115
[G (3 5 6 7)>(1, (9 10 11 13)>15 : 8.0 83.0 ]

N75188
[G 2>3, (4 5)>6, (9 10)>8, (12 13)>11 : 50.0 412.5 ]

N75189
[G 1>3, 4>6, 10>8, 13>11 : 10.0 55.5 ]

S00
[G (1 2)>3, (4 5)>6, (9 10)>8, (12 13)>11 : 1.0 5.5 ]
S02
[G (2 3)>1, (5 6)>4, (8 9)>10, (11 12)>13 : 1.0 6.0 ]

S04
[G 1>2, 3>4, 5>6, 9>8, 11>10, 13>12 : 1.0 5.5 ]

S08
[G (1 2)>3, (4 5)>6, (9 10)>8, (12 13)>11 : 1.0 8.3 ]

S10
[G (1 2 13)>12, (3 4 5)>6, (9 10 11)>8 : 1.0 5.5 ]

S11
[G (1 2 13)>12, (3 4 5)>6, (9 10 11)>8 : 1.0 8.3 ]


S20
[G (1 2 4 5)>6, (9 10 12 13)>8 : 1.0 5.5 ]

S30
[G (1 2 3 4 5 6 11 12)>8 : 1.0 7.7 ]

S32
[G (1 2)>3, (4 5)>6, (9 10)>8, (12 13)>11 : 1.0 7.7 ]

S37
[G (1 2)>3, (4 5)>6, (9 10)>8, (12 13)>11 : 1.0 7.2 ]

S38
[G (1 2)>3, (4 5)>6, (9 10)>8, (12 13)>11 : 2.0 11.0 ]

S40
[G (1 2 4 5)>6, (9 10 12 13)>8 : 1.0 7.2 ]

S51
[G (2 3 4 5)>6, (1 9 10 13)>8 : 1.0 6.1 ]

S64
[G (1 2 3 4 5 6 9 10 11 12 13)>8 : 1.0 6.1 ]

S86
[G (1 2)>3, (4 5)>6, (9 10)>8, (12 13)>11 : 2.0 11.6 ]

S74
[FF 2 {3.3 2.2 }>(5 6) : CLK 3 (1.0 9.9) RS (1 4) (1.0 14.8) ][FF 12 {3.3 2.2}>(8 9) : CLK 11 (1.0 9.9) RS (10 13) (1.0 14.8 x x x) ]

S85
[G (1 9 10 11 12 13 14 15)>(5 7) : 3.0 18.2 ][G (2 3 4)>(5 7) : 1.0 9.4 ][G (1 9 10 11 12 13 14 15)>6 : 3.0 19.9 ][G (2 3 4)>6 : 1.0 11.6 ]

S112
[FF (2 3) {3.3 0)>(5 6) : CLK 1 (1.0 7.7) RS (4 15) (1.0 7.7 x x x) ][FF (11 12) {3.3 0)>(7 9) : CLK 13 (1.0 7.7) RS (10 14) (1.0 7.7 x x x) ]

S133
[G (1 2 3 4 5 6 7 10 11 12 13 14 15)>9 : 1.0 7.7 ]

S135
[G (1 2)>3, (5 6)>7, (10 11)>9, (14 15)>13 : 2.0 16.5 ][G 4>(3 7), 12>(9 13) : 2.0 16.0 ]

S138
[G (1 2 3)>(7 9 10 11 12 13 14 15) : 1.5 13.2 ][G (4 5 6 )>( 7 9 10 11 12 13 14 15) : 1.5 12.1 ]

S139
[G (2 3)>(4 5 6 7), (13 14)>(9 10 11 12) : 1.5 13.2 ][G 1>(4 5 6 7), 15>(9 10 11 12) : 1.5 11.0 ]
S151
[G (1 2 3 4 12 13 14 15)>5 : 2.0 13.2 ][G (1 2 3 4 12 13 14 15)>6 : 1.0 7.7 ][G (9 10 11)>5 : 3.0 19.8 ][G (9 10 11)>6 : 2.0 16.5 ][G 7>5 : 1.5 19.8 ][G 7>6 : 1.0 14.3 ]

S153
[G (3 4 5 6)>7 : 1.5 9.9 ][G (10 11 12 13)>9 : 1.5 9.9 ][G (2 14)>(7 9) : 2.5 19.8 ][G (1 15)>(7 9) : 2.0 16.5 ]

S157
[G (2 3)>4, (5 6)>7, (10 11)>9, (13 14)>12 : 1.0 8.3 ][G 1>(4 7 9 12) : 2.0 16.5 ][G 15>(4 7 9 12) : 2.0 13.8 ]

S158
[G (2 3)>4, (5 6)>7, (10 11)>9, (13 14)>12 : 1.0 6.6 ][G 1>(4 7 9 12) : 1.5 13.2 ][G 15>(4 7 9 12) : 2.0 13.2 ]

S163
[FF 3 {4.4 3.3}>14, 4>13, 5>12, 6>11, (1 7 9 10) {15.4 0}>(11 12 13 14) : CLK 2 (2.0 16.5) ][FF (3 4 5 6) {4.4 3.3}>15, (1 7 9 10) {15.4 0}>15 : CLK 2 (3.0 27.5) ]

S169
[FF 3 {4.4 1.1}>14, 4>13, 5>12, 6>11, (1 7 9 10) {22 1.1}>(11 12 13 14) : CLK 2 (2.0 16.5) ][FF (3 4 5 6) {4.4 1.1}>15, (1 7 9 10) {22 1.1}>15 : CLK 2 (3.0 30.8) ]

S174
[FF 3 {5.5 3.3}>2, 4>5, 6>7, 11>10, 13>12, 14>15 : CLK 9 (2.0 18.7) RS 1 (3.0 24.2) ]

S175
[FF 4 {5.5 3.3}>2, 5>7, 12>10, 13>15 : CLK 9 (2.0 18.7) RS 1 (3.0 24.2) ][FF 4 {5.5 3.3}>3, 5>6, 12>11, 13>14 : CLK 9 (2.0 18.7) RS 1 (2.0 16.5) ]

S181
[G (2 19 21 23)>(9 10 11 13) : 4.0 24.2 ][G (2 19 21 23)>(15 17) : 2.0 16.5 ][G (2 19 21 23)>16 : 3.0 25.3 ][G (2 19 21 23)>14 : 3.0 33.0 ][G (1 18 20 22)>(9 10 11 13) : 4.0 24.2 ][G (1 18 20 22)>(15 17) : 2.0 16.5 ][G (1 18 20 22)>16 : 3.0 25.3 ][G (1 18 20 22)>14 : 3.0 33.0 ][G (3 4 5 6)>(9 10 11 13) : 4.0 24.2 ][G (3 4 5 6)>(15 17) : 2.0 16.5 ][G (3 4 5 6)>16 : 3.0 25.3 ][G 7>(9 10 11 13) : 1.5 13.2 ][G 7>16 : 1.5 11.6 ][G 8>(9 10 11 13) : 1.5 17.6 ][G 8>16 : 1.5 15.9 ]

S182
[G (1 2 3 4 5 6 14 15)>(9 11 12) : 1.0 7.7 ][G (1 2 3 4 5 14 15)>10 : 1.0 11.6 ][G (2 4 6 15)>7 : 1.0 11.0 ][G 13>(9 11 12) : 1.5 11.6 ]

S189
[M 4 {B+0.0 0.0}>5, 6>7, 10>9, 12>11: CLK 3 (5.0 17.0 0.0 35.0) ADDR (1 13 14 15) (10.0 35.0 0.0 0.0)]

S194
[FF (2 3)>15, 4>14, 5>13, 6>12, (9 10)>(12 13 14 15) : CLK 11 (4.0 18.2) ][G 1>(12 13 14 15) : 1.0 20.4 ]
S240
[G 2>18, 4>16 6>14, 8>12, 11>9, 13>7, 15>5, 17>3 :1.0 7.7 ][G 1>(12 14 16 18), 19>(3 5 7 9) : 2.0 16.5 ]

S241
[G 2>18, 4>16 6>14, 8>12, 11>9, 13>7, 15>5, 17>3 :1.0 9.9 ][G 1>(12 14 16 18), 19>(3 5 7 9) : 2.0 16.5 ]

S251
[G (1 2 3 4 12 13 14 15)>5 : 2.0 13.2 ][G (1 2 3 4 12 13 14 15)>6 : 1.0 7.7 ][G (9 10 11)>5 : 3.0 21.5 ][G (9 10 11)>6 : 2.0 16.5 ][G 7>5 : 1.5 17.6 ][G 7>6 : 1.0 17.6 ]

S253
[G (3 4 5 6)>7 : 1.5 9.9 ][G (10 11 12 13)>9 : 1.5 9.9 ][G (2 14)>(7 9) : 2.5 19.8 ][G (1 15)>(7 9) : 2.0 23.1 ]

S257
[G (2 3)>4, (5 6)>7, (10 11)>9, (13 14)>12 : 1.0 8.3 ][G 1>(4 7 9 12) : 2.0 16.5 ][G 15>(4 7 9 12) : 2.0 23.1 ]

S258
[G (2 3)>4, (5 6)>7, (10 11)>9, (13 14)>12 : 1.0 6.6 ][G 1>(4 7 9 12) : 1.5 13.2 ][G 15>(4 7 9 12) : 2.0 23.1 ]

S260
[G (1 2 3 12 13)>5, (4 8 9 10 11)>6 : 1.0 6.6 ]

S280
[G (1 2 4 8 9 10 11 12 13)>(5 6) : 3.0 23.1 ]

S283
[G (2 3 5 6 11 12 14 15)>(1 4 10 13) : 3.0 26.4 ][G (2 3 5 6 11 12 14 15)>9 : 2.0 17.6 ][G 7>(1 4 10 13) : 3.0 23.1 ][G 7>9 : 2.0 17.6 ]

S374
[FF 3 {5.0 2.0}>2, 4>5, 7>6, 8>9, 13>12, 14>15, 17>16, 18>19 : CLK 11 (2.0 18.7) ][G 1>(2 5 6 9 12 15 16 19) : 2.0 19.8 ]
SG139
[G (10 11 12 13 14)>(1 2 3 4 5 6 7 9) : 6.0 20.0 ][G 15>(1 2 3 4 5 6 7 9) : 10.0 15.0 ]

25S09
[FF (3 4) {6.1 3.3}>2, (5 6)>7, (11 12)>10, (13 14)>15 : CLK 9 (2.5 18.7) ][FF 1 {11.0 3.3}>(2 7 10 15) : CLK 9 (2.5 18.7) ]

8T09
[G 1>3, 5>6, 9>8, 13>11 : 1.5 11.0 ][G 2>3, 4>6, 10>8, 12>11 : 2.0 15.4 ]

8T10
[FF (9 10 11) {5.5 5.5}>6, (9 10 12)>5, (9 10 13)>4, (9 10 14)>3 : CLK 7 (4.0 27.5) RS 15 (3.0 24.2 x x x) ][G (1 2)>(3 4 5 6) : 3.0 33.0 ]
STOP